新たなデータ型とは? わかりやすく解説

Weblio 辞書 > 辞書・百科事典 > ウィキペディア小見出し辞書 > 新たなデータ型の意味・解説 

新たなデータ型

出典: フリー百科事典『ウィキペディア(Wikipedia)』 (2021/10/12 07:43 UTC 版)

SystemVerilog」の記事における「新たなデータ型」の解説

多次元詰め込み配列により、Verilog の "registers" と "memories" を統合拡張した: reg [1:0][2:0] my_var[32]; 本来の Verilog では変数名の左には一次元宣言しかできなかった。SystemVerilog任意の詰め込み次元指定可能である。詰め込み配列型変数には整数算術実体を 1:1 でマップする。上記の例では、my_var の各要素は6ビット整数を表す。名前の右側にある次元(例では32)は詰め込み型でない次元である。Verilog-2001 と同様、詰め込み型でない次元任意の次元指定が可能である。 列挙データ型により、数値実体に味のある名前をつけることが可能となった列挙型宣言され変数は、他の列挙型とは cast なしで代入できない。これはパラメータには当てはまらないVerilog-2005 での列挙型実装合わせたためである。 typedef enum reg [2:0] { RED, GREEN, BLUE, CYAN, MAGENTA, YELLOW} color_t;color_t my_color = GREEN; このように設計者は基となる算術型(この場合 reg [2:0])を指定し、その値に名前を付ける。メタ値 X と Z を使うこともでき、不正状態を表すのに使う。 新し整数型: SystemVerilog では byte、shortint、int、longint という二値統合型を定義しており、それぞれ8ビット/16ビット/32ビット/64ビットである。bit 型は不定長の値型であり、reg似たような働きをする。二値型では reg では使えるメタ値 X と Z が使えないこのためシミュレーション高速化期待するVerilog-2001 にもある integertimeそのまま四値が使える浮動小数点型: real (64ビット)に加えて、shortreal (32ビット)を追加構造体共用体C言語同様の働きをする。SystemVerilog ではこれらに詰め込み属性導入し詰め込み配列ビット列に構造体共用体を 1:1 で対応させることができる: typedef struct packed { bit [10:0] expo; bit sign; bit [51:0] mant;} FP;FP zero = 64'b0;

※この「新たなデータ型」の解説は、「SystemVerilog」の解説の一部です。
「新たなデータ型」を含む「SystemVerilog」の記事については、「SystemVerilog」の概要を参照ください。


新たなデータ型

出典: フリー百科事典『ウィキペディア(Wikipedia)』 (2021/10/12 07:43 UTC 版)

SystemVerilog」の記事における「新たなデータ型」の解説

string データ型任意長のテキスト文字列を表す。 設計使われる静的配列加えSystemVerilog動的配列連想配列キュー提供する: int da[]; // 動的配列int da[string]; // 文字列インデックスとする連想配列int da[$]; // キューinitial begin da = new[16]; // 16要素生成end 動的配列は非詰め込み型の配列のように働くが、上で示したように動的に生成されなければならない。この配列必要に応じてサイズ変更できる連想配列ユーザー指定キーの型とデータ型による二分探索木のようなのであるキーによる暗黙順序付けがあり、辞書式順序読み出すことができる。キューC++STL にある deque 型の機能とほぼ同等のものを提供する要素追加削除キュー両端から可能である。これらのデータ型大規模設計で必要となる複雑なデータ型生成を可能とする。

※この「新たなデータ型」の解説は、「SystemVerilog」の解説の一部です。
「新たなデータ型」を含む「SystemVerilog」の記事については、「SystemVerilog」の概要を参照ください。

ウィキペディア小見出し辞書の「新たなデータ型」の項目はプログラムで機械的に意味や本文を生成しているため、不適切な項目が含まれていることもあります。ご了承くださいませ。 お問い合わせ



英和和英テキスト翻訳>> Weblio翻訳
英語⇒日本語日本語⇒英語
  

辞書ショートカット

すべての辞書の索引

「新たなデータ型」の関連用語

新たなデータ型のお隣キーワード
検索ランキング

   

英語⇒日本語
日本語⇒英語
   



新たなデータ型のページの著作権
Weblio 辞書 情報提供元は 参加元一覧 にて確認できます。

   
ウィキペディアウィキペディア
Text is available under GNU Free Documentation License (GFDL).
Weblio辞書に掲載されている「ウィキペディア小見出し辞書」の記事は、WikipediaのSystemVerilog (改訂履歴)の記事を複製、再配布したものにあたり、GNU Free Documentation Licenseというライセンスの下で提供されています。

©2025 GRAS Group, Inc.RSS