SystemVerilogとは? わかりやすく解説

SystemVerilog

出典: フリー百科事典『ウィキペディア(Wikipedia)』 (2023/03/16 20:31 UTC 版)

SystemVerilog は、ハードウェア記述言語Verilog HDLを拡張した言語で、主に検証に関する機能が拡張・統合されている。2002年にAccelleraに対して Superlog 言語を寄付したことで生まれた[1]。検証機能の部分はシノプシスが提供した OpenVera に基づいている。2005年、SystemVerilog は IEEE Standard 1800-2005 として標準化された[2]


  1. ^ Rich, D. “The evolution of SystemVerilog” IEEE Design and Test of Computers, July/August 2003
  2. ^ IEEE approves SystemVerilog, revision of Verilog
  3. ^ Quartus II Support for SystemVerilog


「SystemVerilog」の続きの解説一覧




固有名詞の分類

このページでは「ウィキペディア」からSystemVerilogを検索した結果を表示しています。
Weblioに収録されているすべての辞書からSystemVerilogを検索する場合は、下記のリンクをクリックしてください。
 全ての辞書からSystemVerilogを検索

英和和英テキスト翻訳>> Weblio翻訳
英語⇒日本語日本語⇒英語
  

辞書ショートカット

すべての辞書の索引

「SystemVerilog」の関連用語

SystemVerilogのお隣キーワード
検索ランキング

   

英語⇒日本語
日本語⇒英語
   



SystemVerilogのページの著作権
Weblio 辞書 情報提供元は 参加元一覧 にて確認できます。

   
ウィキペディアウィキペディア
All text is available under the terms of the GNU Free Documentation License.
この記事は、ウィキペディアのSystemVerilog (改訂履歴)の記事を複製、再配布したものにあたり、GNU Free Documentation Licenseというライセンスの下で提供されています。 Weblio辞書に掲載されているウィキペディアの記事も、全てGNU Free Documentation Licenseの元に提供されております。

©2024 GRAS Group, Inc.RSS