フィボナッチ‐すうれつ【フィボナッチ数列】
フィボナッチ数列
フィボナッチ数
(フィボナッチ数列 から転送)
出典: フリー百科事典『ウィキペディア(Wikipedia)』 (2024/07/23 14:30 UTC 版)
フィボナッチ数(フィボナッチすう、英: Fibonacci number)は、イタリアの数学者レオナルド・フィボナッチ(ピサのレオナルド)に因んで名付けられた数である。
- 1 フィボナッチ数とは
- 2 フィボナッチ数の概要
フィボナッチ数列
出典: フリー百科事典『ウィキペディア(Wikipedia)』 (2020/10/02 16:40 UTC 版)
フィボナッチ数列とは第 n 項の値が第 n - 1 項と第 n - 2 項の和となる数列のことである。この問題は最適化問題ではない。
※この「フィボナッチ数列」の解説は、「動的計画法」の解説の一部です。
「フィボナッチ数列」を含む「動的計画法」の記事については、「動的計画法」の概要を参照ください。
フィボナッチ数列
出典: フリー百科事典『ウィキペディア(Wikipedia)』 (2021/11/30 14:39 UTC 版)
次の例はもう少し実用的なものである: -- Fib.vhd---- Fibonacci number sequence generatorlibrary IEEE;use IEEE.std_logic_1164.all;use IEEE.numeric_std.all;entity Fibonacci isport( Reset : in std_logic; Clock : in std_logic; Number : out unsigned(31 downto 0));end entity Fibonacci;architecture Rcingham of Fibonacci is signal Previous : natural; signal Current : natural; signal Next_Fib : natural;begin Adder: Next_Fib <= Current + Previous; Registers: process (Clock, Reset) is begin if Reset = '1' then Previous <= 1; Current <= 1; elsif Clock'event and Clock = '1' then Previous <= Current; Current <= Next_Fib; end if; end process Registers; Number <= to_unsigned(Previous, 32);end architecture Rcingham; シミュレーションを行うとNext_Fibがオーバーフローするまで、フィボナッチ数列を生成する。
※この「フィボナッチ数列」の解説は、「VHDL」の解説の一部です。
「フィボナッチ数列」を含む「VHDL」の記事については、「VHDL」の概要を参照ください。
フィボナッチ数列
出典: フリー百科事典『ウィキペディア(Wikipedia)』 (2022/06/09 06:57 UTC 版)
「レオナルド・フィボナッチ」の記事における「フィボナッチ数列」の解説
詳細は「フィボナッチ数列」を参照 フィボナッチ数列では、3項目以降のそれぞれの数は手前の2つの項の数の和になっている。そのため数列は、0,1,1,2,3,5,8,13,21,34,55,89,144,233...と続く。 また、2つの連続する項の比を取ると、次第に黄金比(約1:1.618または約0.618:1)に近づいてくる。
※この「フィボナッチ数列」の解説は、「レオナルド・フィボナッチ」の解説の一部です。
「フィボナッチ数列」を含む「レオナルド・フィボナッチ」の記事については、「レオナルド・フィボナッチ」の概要を参照ください。
フィボナッチ数列と同じ種類の言葉
- フィボナッチ数列のページへのリンク