フィボナッチ数列とは? わかりやすく解説

Weblio 辞書 > 同じ種類の言葉 > 人文 > 高等数学 > 数列 > フィボナッチ数列の意味・解説 

フィボナッチ‐すうれつ【フィボナッチ数列】

読み方:ふぃぼなっちすうれつ

Fibonacci numbers数学で、最初二項が1で、第三以降の項がすべて直前二項和になっている数列。すなわち、1, 1, 2, 3, 5, 8, 13, 21, 34, 55, 89…という数列のこと。イタリア数学者レオナルド=フィボナッチの名にちなむ。


フィボナッチ数列

 始めに0があり、その0に1をたして1になり、1に1をたして2になり、と続くAn+2 = An + An+1の関係にある数列。0, 1, 1, 2, 3, 5, 8, 13, 21, 34, 55, 89, 144, 233, 377, 610, ・・・・・。An+1÷An=1.618(黄金分割比)、An÷An+1=0.618(黄金分割比の逆数)、An+2÷An=2.618、An÷An+2=0.382などがチャート分析においてよく使われる

フィボナッチ数列

2つの項の和を次の項として順次作っていく数列のことをいう。
1, 1, 2, 3, 5, 8, 13, 21, 34, …


フィボナッチ数

(フィボナッチ数列 から転送)

出典: フリー百科事典『ウィキペディア(Wikipedia)』 (2024/07/23 14:30 UTC 版)

フィボナッチ数(フィボナッチすう、: Fibonacci number)は、イタリアの数学者レオナルド・フィボナッチ(ピサのレオナルド)に因んで名付けられたである。




「フィボナッチ数」の続きの解説一覧

フィボナッチ数列

出典: フリー百科事典『ウィキペディア(Wikipedia)』 (2020/10/02 16:40 UTC 版)

動的計画法」の記事における「フィボナッチ数列」の解説

フィボナッチ数列とは第 n 項の値が第 n - 1 項と第 n - 2 項の和となる数列のことである。この問題最適化問題ではない。

※この「フィボナッチ数列」の解説は、「動的計画法」の解説の一部です。
「フィボナッチ数列」を含む「動的計画法」の記事については、「動的計画法」の概要を参照ください。


フィボナッチ数列

出典: フリー百科事典『ウィキペディア(Wikipedia)』 (2021/11/30 14:39 UTC 版)

VHDL」の記事における「フィボナッチ数列」の解説

次の例はもう少し実用的なのである: -- Fib.vhd---- Fibonacci number sequence generatorlibrary IEEE;use IEEE.std_logic_1164.all;use IEEE.numeric_std.all;entity Fibonacci isport( Reset : in std_logic; Clock : in std_logic; Number : out unsigned(31 downto 0));end entity Fibonacci;architecture Rcingham of Fibonacci is signal Previous : natural; signal Current : natural; signal Next_Fib : natural;begin Adder: Next_Fib <= Current + Previous; Registers: process (Clock, Reset) is begin if Reset = '1' then Previous <= 1; Current <= 1; elsif Clock'event and Clock = '1' then Previous <= Current; Current <= Next_Fib; end if; end process Registers; Number <= to_unsigned(Previous, 32);end architecture Rcingham; シミュレーションを行うとNext_Fibがオーバーフローするまで、フィボナッチ数列を生成する

※この「フィボナッチ数列」の解説は、「VHDL」の解説の一部です。
「フィボナッチ数列」を含む「VHDL」の記事については、「VHDL」の概要を参照ください。


フィボナッチ数列

出典: フリー百科事典『ウィキペディア(Wikipedia)』 (2022/06/09 06:57 UTC 版)

レオナルド・フィボナッチ」の記事における「フィボナッチ数列」の解説

詳細は「フィボナッチ数列」を参照 フィボナッチ数列では、3項以降それぞれの数は手前2つの項の数の和になっている。そのため数列は、0,1,1,2,3,5,8,13,21,34,55,89,144,233...と続く。 また、2つ連続する項の比を取ると、次第黄金比(約1:1.618または約0.618:1)に近づいてくる。

※この「フィボナッチ数列」の解説は、「レオナルド・フィボナッチ」の解説の一部です。
「フィボナッチ数列」を含む「レオナルド・フィボナッチ」の記事については、「レオナルド・フィボナッチ」の概要を参照ください。

ウィキペディア小見出し辞書の「フィボナッチ数列」の項目はプログラムで機械的に意味や本文を生成しているため、不適切な項目が含まれていることもあります。ご了承くださいませ。 お問い合わせ



フィボナッチ数列と同じ種類の言葉


英和和英テキスト翻訳>> Weblio翻訳
英語⇒日本語日本語⇒英語
  

辞書ショートカット

すべての辞書の索引

「フィボナッチ数列」の関連用語

フィボナッチ数列のお隣キーワード
検索ランキング

   

英語⇒日本語
日本語⇒英語
   



フィボナッチ数列のページの著作権
Weblio 辞書 情報提供元は 参加元一覧 にて確認できます。

   
デジタル大辞泉デジタル大辞泉
(C)Shogakukan Inc.
株式会社 小学館
マネーパートナーズマネーパートナーズ
Copyright © 2024MONEY PARTNERS CO,LTD All rights reserved.
数理検定協会数理検定協会
Copyright©2024 数理検定協会 All Rights Reserved.
ウィキペディアウィキペディア
All text is available under the terms of the GNU Free Documentation License.
この記事は、ウィキペディアのフィボナッチ数 (改訂履歴)の記事を複製、再配布したものにあたり、GNU Free Documentation Licenseというライセンスの下で提供されています。 Weblio辞書に掲載されているウィキペディアの記事も、全てGNU Free Documentation Licenseの元に提供されております。
ウィキペディアウィキペディア
Text is available under GNU Free Documentation License (GFDL).
Weblio辞書に掲載されている「ウィキペディア小見出し辞書」の記事は、Wikipediaの動的計画法 (改訂履歴)、VHDL (改訂履歴)、レオナルド・フィボナッチ (改訂履歴)の記事を複製、再配布したものにあたり、GNU Free Documentation Licenseというライセンスの下で提供されています。

©2024 GRAS Group, Inc.RSS