設計特徴とは? わかりやすく解説

設計特徴

出典: フリー百科事典『ウィキペディア(Wikipedia)』 (2022/07/06 15:52 UTC 版)

FR-V」の記事における「設計特徴」の解説

FR-VRISC思想作られており、可変長VLIW方式命令実行する基本命令は、32ビット命令 可変長VLIWマイクロプロセッサ最大2基本命令2Way (FR300,FR400,FR450) 最大4基本命令4Way (FR500) 最大8基本命令8Way (FR550) VLIW長:32ビット256ビット Packing Flag方式によるコード圧縮方式用いた可変長VLIW32ビット基本命令ごとに1ビットの“パッキングフラグ・ビット”がある。 ビッグエンディアン 単精度浮動小数点演算 2並列SIMD メディア処理演算 2並列16ビットSIMD/4並列16ビットSIMD

※この「設計特徴」の解説は、「FR-V」の解説の一部です。
「設計特徴」を含む「FR-V」の記事については、「FR-V」の概要を参照ください。


設計特徴

出典: フリー百科事典『ウィキペディア(Wikipedia)』 (2021/12/10 06:19 UTC 版)

PowerPC」の記事における「設計特徴」の解説

PowerPCRISC思想作られており、スーパースカラ方式命令実行するベースにしたPOWER特徴に、さらにいくつかの変更加えたPOWERアーキテクチャのうち、複雑なものを省いた命令セットRISCプロセッサとしては、比較複雑な命令も含む。 バイエンディアンビッグエンディアンおよびリトルエンディアンサポート。G5を除く) 単精度浮動小数点演算倍精度浮動小数点演算追加 32ビット命令と完全下位互換64ビット命令セット 32個のGPR汎用レジスタ)と32個のFPR浮動小数点レジスタサブルーチン呼出規約一般的なRISCチップとは異なりスタック渡しである。実際10個の引数までレジスタ渡しが行われるが、データビット数によっては使用可能なレジスタ数が減少したり、非揮発性レジスタ(r13 - r31)の退避などを行う必要がある。 1本のカウントレジスタ。専用分岐命令などと組み合わせてループカウントなどに利用する複雑な命令など一部除き命令基本的にハードワイヤード (Hard-Wired) ロジック実装一部マイクロコード実装) G4(第4世代シリーズでは128ビット単位ベクトル演算を行う『AltiVecIBMVMXAppleではVelocity Engine表現している)』を採用付随する専用レジスタ32本。 8本の4ビット条件レジスタ(いわゆるステータスレジスタフラグレジスタ呼ばれるもの)。詳細ステータスレジスタの項を参照原則として現在のスタックメモリアドレスを指すベースポインタ持たない代り汎用レジスタ一つ用いる。この規則ABI依存するが、大抵の場合そのレジスタは1番の汎用レジスタである。また、0番の汎用レジスタは、命令によってはゼロレジスタ代用として用いられることがある静的分岐予測命令単位設定できる条件分岐命令は8×32×17=4352通り分岐予測を含む)の条件組み合わせることが可能である。 1998年POWER3以降は、POWER64ビットPowerPC仕様準拠したアーキテクチャ採用している。

※この「設計特徴」の解説は、「PowerPC」の解説の一部です。
「設計特徴」を含む「PowerPC」の記事については、「PowerPC」の概要を参照ください。


設計・特徴

出典: フリー百科事典『ウィキペディア(Wikipedia)』 (2020/08/27 14:16 UTC 版)

ゴラクプール原子力発電所」の記事における「設計・特徴」の解説

提案されている700MWの加圧水型重水炉PHWR)はCANDU炉を基にしたインド国産炉であり、現在建設中のカクラパー3、4号機やラジャスタン7、8号機と同型である。原子炉大きさ設計は、冷却材部分沸騰冷却材流路出口公称最大3%までであるようにされていることを除いてタラプール3、4号機の540MWe級と同様である。

※この「設計・特徴」の解説は、「ゴラクプール原子力発電所」の解説の一部です。
「設計・特徴」を含む「ゴラクプール原子力発電所」の記事については、「ゴラクプール原子力発電所」の概要を参照ください。

ウィキペディア小見出し辞書の「設計特徴」の項目はプログラムで機械的に意味や本文を生成しているため、不適切な項目が含まれていることもあります。ご了承くださいませ。 お問い合わせ



英和和英テキスト翻訳>> Weblio翻訳
英語⇒日本語日本語⇒英語
  

辞書ショートカット

すべての辞書の索引

「設計特徴」の関連用語

設計特徴のお隣キーワード
検索ランキング

   

英語⇒日本語
日本語⇒英語
   



設計特徴のページの著作権
Weblio 辞書 情報提供元は 参加元一覧 にて確認できます。

   
ウィキペディアウィキペディア
Text is available under GNU Free Documentation License (GFDL).
Weblio辞書に掲載されている「ウィキペディア小見出し辞書」の記事は、WikipediaのFR-V (改訂履歴)、PowerPC (改訂履歴)、ゴラクプール原子力発電所 (改訂履歴)の記事を複製、再配布したものにあたり、GNU Free Documentation Licenseというライセンスの下で提供されています。

©2024 GRAS Group, Inc.RSS