VHDLとは? わかりやすく解説

VHDL

フルスペル:VHSIC Hardware Description Language
読み方ブイエイチディーエル

VHDLとは、ハードウェア記述言語HDL)の一種で、1980年代米国国防総省主導によって開発され言語のことである。

ハードウェア記述言語は、具体的な回路などを考慮せず動作だけを記述すればハードウェア動作定義することができるようになっており、ソフトウェアプログラミングの手法と違和感なくハードウェアの設計を行うことができる。VHDLはプログラミング言語ALGOLPascal土台として設計されており、大文字小文字区別しないなどの特徴継承しているなどの特徴がある。

VHDLは1985年仕様一般公開され、1987年以降IEEE 1076-1987やIEEE 1164-1993として標準化されてた。以来HDL標準的仕様として多く採用されている。なお、VHDLのほかによく用いられているHDLとしては、Verilogなどがある。

プログラミングのほかの用語一覧
プログラミング言語の種類:  Visual Studio  Visual C# .NET  Visual Studio .NET  VHDL
言語分類:  アセンブリ言語  アドレス部  エンドユーザー言語




固有名詞の分類

このページでは「IT用語辞典バイナリ」からVHDLを検索した結果を表示しています。
Weblioに収録されているすべての辞書からVHDLを検索する場合は、下記のリンクをクリックしてください。
 全ての辞書からVHDLを検索

英和和英テキスト翻訳>> Weblio翻訳
英語⇒日本語日本語⇒英語
  

辞書ショートカット

すべての辞書の索引

「VHDL」の関連用語

VHDLのお隣キーワード
検索ランキング

   

英語⇒日本語
日本語⇒英語
   



VHDLのページの著作権
Weblio 辞書 情報提供元は 参加元一覧 にて確認できます。

   
IT用語辞典バイナリIT用語辞典バイナリ
Copyright © 2005-2024 Weblio 辞書 IT用語辞典バイナリさくいん。 この記事は、IT用語辞典バイナリVHDLの記事を利用しております。

©2024 GRAS Group, Inc.RSS