Property Specification Languageとは? わかりやすく解説

Property Specification Language

出典: フリー百科事典『ウィキペディア(Wikipedia)』 (2021/01/08 05:29 UTC 版)

Property Specification Language(特性仕様言語、PSL と略記)とは、ハードウェア設計におけるプロパティ(特性)や表明を記述する言語として標準化団体 Accelleraが開発したものである。これにより、プロパティをシミュレートしたり形式的に検証したりできる。2004年9月から、IEEE 1850 ワーキンググループがこの標準化を行ってきた。2005年9月、IEEE 1850 Standard for Property Specification Language (PSL) が発表された。






「Property Specification Language」の続きの解説一覧




固有名詞の分類


英和和英テキスト翻訳>> Weblio翻訳
英語⇒日本語日本語⇒英語
  

辞書ショートカット

すべての辞書の索引

「Property Specification Language」の関連用語

Property Specification Languageのお隣キーワード
検索ランキング

   

英語⇒日本語
日本語⇒英語
   



Property Specification Languageのページの著作権
Weblio 辞書 情報提供元は 参加元一覧 にて確認できます。

   
ウィキペディアウィキペディア
All text is available under the terms of the GNU Free Documentation License.
この記事は、ウィキペディアのProperty Specification Language (改訂履歴)の記事を複製、再配布したものにあたり、GNU Free Documentation Licenseというライセンスの下で提供されています。 Weblio辞書に掲載されているウィキペディアの記事も、全てGNU Free Documentation Licenseの元に提供されております。

©2024 GRAS Group, Inc.RSS