VHDLとは? わかりやすく解説

VHDL

出典: フリー百科事典『ウィキペディア(Wikipedia)』 (2023/03/23 01:55 UTC 版)

VHDLは、デジタル回路設計用の、ハードウェア記述言語(HDL: Hardware Description Language)の一種である。標準化は(現在は)IEEE/IECによる。主として論理回路の設計に、特にFPGAASICなどの設計で使う。IEEEIECで同一規格IEEE 1076-2008 VHDL Language Reference Manual/IEC 61691-1-1:2011 Behavioural languages - Part 1-1: VHDL Language Reference Manual を発行している。名前の由来は英語のVHSIC HDLの略で、VHSICは、very high speed integrated circuits(超高速集積回路)で、米国国防総省が主導したプロジェクトの一環をなす研究プログラムの名称である。このプログラムによってVHDLは生み出された。




「VHDL」の続きの解説一覧




固有名詞の分類

このページでは「ウィキペディア」からVHDLを検索した結果を表示しています。
Weblioに収録されているすべての辞書からVHDLを検索する場合は、下記のリンクをクリックしてください。
 全ての辞書からVHDLを検索

英和和英テキスト翻訳>> Weblio翻訳
英語⇒日本語日本語⇒英語
  

辞書ショートカット

すべての辞書の索引

「VHDL」の関連用語

VHDLのお隣キーワード
検索ランキング

   

英語⇒日本語
日本語⇒英語
   



VHDLのページの著作権
Weblio 辞書 情報提供元は 参加元一覧 にて確認できます。

   
ウィキペディアウィキペディア
All text is available under the terms of the GNU Free Documentation License.
この記事は、ウィキペディアのVHDL (改訂履歴)の記事を複製、再配布したものにあたり、GNU Free Documentation Licenseというライセンスの下で提供されています。 Weblio辞書に掲載されているウィキペディアの記事も、全てGNU Free Documentation Licenseの元に提供されております。

©2024 GRAS Group, Inc.RSS