極端紫外線リソグラフィとは? わかりやすく解説

Weblio 辞書 > 辞書・百科事典 > 百科事典 > 極端紫外線リソグラフィの意味・解説 

極端紫外線リソグラフィ

出典: フリー百科事典『ウィキペディア(Wikipedia)』 (2023/10/18 13:59 UTC 版)

極端紫外線リソグラフィ (Extreme ultraviolet lithography、略称:EUVリソグラフィ または EUVL) は、極端紫外線英語版、波長13.5 nmにて露光する次世代露光技術である。


  1. ^ SEMICON West 2016」、半導体露光技術の進化を振り返る(完結編その2), http://eetimes.jp/ee/articles/1608/30/news022.html 
  2. ^ webelements.com
  3. ^ Tao, Y.; et al. (2005). “Characterization of density profile of laser-produced Sn plasma for 13.5 nm extreme ultraviolet source”. Appl. Phys. Lett. 86 (20): 201501. doi:10.1063/1.1931825. 
  4. ^ Coons, R. W.; et al. (2010). “Comparison of EUV spectral and ion emission features from laser-produced Sn and Li plasmas”. Proc. SPIE 7636: 763636. doi:10.1117/12.848318. 
  5. ^ Paetzel, R.; et al. (2003). “Excimer lasers for superhigh NA 193-nm lithography”. Proc. SPIE 5040: 1665. doi:10.1117/12.485344. 
  6. ^ Harilal, S. S.; et al. (2006). “Spectral control of emissions from tin doped targets for extreme ultraviolet lithography”. J. Phys. D 39 (3): 484. doi:10.1088/0022-3727/39/3/010. 
  7. ^ Trintchouk, F.; et al. (2006). “XLA-300: the fourth-generation ArF MOPA light source for immersion lithography”. Proc. SPIE 6154: 615423. doi:10.1117/12.658723. 
  8. ^ V. Bakshi, 2009 EUVL Workshop Summary, Sheraton Waikiki, Hawaii, July 13--17, 2009.
  9. ^ Cymer EUV light source
  10. ^ Cymer presentation at 2007 EUV Source Workshop
  11. ^ Saleh, B. E. A.; Teich, M. C. (1991). Fundamentals of Photonics. New York: John Wiley & Sons. pp. 521. ISBN 0471839655. 
  12. ^ IEEE Spectrum: A New Light Source
  13. ^ Chen, F. T. (2003). “Asymmetry and thickness effects in reflective EUV masks”. Proc. SPIE 5037: 347. doi:10.1117/12.483602. 
  14. ^ ASML update on ADT
  15. ^ Gullikson, E. M.; et al. (1996). “Stable silicon photodiodes for absolute intensity measurements in the VUV and soft X-ray regions”. J. Electron Spec. and Rel. Phenom. 80: 313--316. doi:10.1016/0368-2048(96)02983-0. http://www.ird-inc.com/Publications/3%20Stable%20Silicon%20photodiodes.pdf. 
  16. ^ Keister, J. W. (2007). “Silicon Photodiodes for Absolute Soft X-ray Radiometry”. Proc. SPIE 6689: 26. doi:10.1117/12.741601. http://www.bnl.gov/u3cx8a/Keister_SPIE07_6689-26_paper.pdf. 
  17. ^ Berger, K. W.; Campiotti, R. H. (2000). “Absolute dosimetry for extreme-ultraviolet lithography”. Proc. SPIE 3998: 838. doi:10.1117/12.386448. 
  18. ^ Donati, S. (2000). Photodetectors: Devices, Circuits and Applications. Upper Saddle River, NJ: Prentice-Hall PTR. p. 182. ISBN 0130203378 
  19. ^ Robert W. Hamm and Marianne E. Hamm, "The Beam Business: Accelerators in Industry", Physics Today, June 2011, pp. 49-50
  20. ^ H. Komori et al., Proc. SPIE 5374, pp. 839--846 (2004).
  21. ^ B. A. M. Hansson et al., Proc. SPIE 4688, pp. 102--109 (2002).
  22. ^ S. N. Srivastava et al., J. Appl. Phys.' 102, 023301 (2007).
  23. ^ A. Brunton et al., Proc. SPIE 5448, pp. 681-692 (2004).
  24. ^ L. Peters, "Double Patterning Leads Race for 32 nm", Semiconductor International, October 18, 2007.
  25. ^ M. Sugawara et al., J. Vac. Sci. Tech. B 21, 2701 (2003).
  26. ^ M. Chandhok et al., J. Vac. Sci. Tech B 22, 2966 (2004).
  27. ^ S. Jeong et al., Proc. SPIE 3997, 431 (2000).
  28. ^ N. S. Faradzhev et al., Bull. of the Russ. Acad. of Sci., Physics, vol. 74, pp. 28--32 (2010).
  29. ^ F. Barkusky et al., Optics Express 18, 4346 (2010).
  30. ^ J. V. Hermans et al., Proc. SPIE 7969, 79691M (2011).
  31. ^ D. Tretheway and E. S. Aydil, J. Electrochem. Soc., vol. 143, 3674 (1996).
  32. ^ M. S. Bakir et al., CICC 2007, 421 (2007).
  33. ^ J. A. van der Pol et al., Microelectronics Rel., 39, 863 (1999).
  34. ^ J. Mathuni et al., Wafer Backside Paper
  35. ^ Brewer Science LED Brochure featuring Substrate Protection
  36. ^ B. L. Henke et al., J. Appl. Phys. 48, pp. 1852--1866 (1977).
  37. ^ SPIE EUV08 paper by T. Kozawa and S. Tagawa
  38. ^ N. Shimizu and H. Sato, 1996 IEEE Annual Report - Conference on Electrical Insulation and Dielectric Phenomena, pp. 787--790 (1996)
  39. ^ Y. Ekinci et al., Microelectronic Engineering, vol. 84, pp. 700--704 (2007). Conference draft.
  40. ^ T. Kozawa et al., J. Vac. Sci. Tech. B 15, pp. 2582--2586 (1997).
  41. ^ T. Kozawa et al., J. Vac. Sci. Tech. B 22, pp. 3489-3492 (2004).
  42. ^ E. Stoffels et al., Plasma Sources Sci. & Tech. 10, 311-317 (2001).
  43. ^ M. P. Seah and W. A. Dench, Surf. Interf. Anal. 1, 2-11 (1979).
  44. ^ S. Tanuma et al., Surf. Interf. Anal. 21, 165--176 (1993).
  45. ^ B. Yakshinskiy et al., Intl. Symp. on EUVL 2009
  46. ^ C. Song et al., Chem. Mater. 20, 3473--3479 (2008).
  47. ^ H. H. Solak et al., Microel. Eng. 67--68, pp. 56--62 (2003).
  48. ^ N. Koch et al., Thin Solid Films 391, pp. 81--87 (2001).
  49. ^ J. Hollenshead and L. Klebanoff, J. Vac. Sci. & Tech. B 24, pp. 118--130 (2006).
  50. ^ J. Hollenshead and L. Klebanoff, J. Vac. Sci. & Tech. B 24, pp. 64--82 (2006).
  51. ^ M. H. L. van der Velden et al., J. Appl. Phys. 100, 073303 (2006).
  52. ^ http://spie.org/x48080.xml?pf=true&ArticleID=x48080
  53. ^ M. Lam, Ph.D. dissertation, U. of California, Berkeley, sec. 7.3 (2005).
  54. ^ P. P. Naulleau et al., Optics Communications 200, pp. 27--34 (2001).
  55. ^ I.-Y. Kang et al., Jap. J. Appl. Phys. vol. 44, pp. 5724--5726 (2005).
  56. ^ S. Huh et al., Proc. SPIE 7271 (2009).
  57. ^ C. A. Cutler et al., Proc. SPIE vol. 5037, 406 (2003).
  58. ^ D. Lauvernier et al., Microelectonic Eng. 75, 177--182 (2004).
  59. ^ EUV resist TWG 2008
  60. ^ Intel extending ArF lithography to 11 nm node
  61. ^ T. Wallow et al., Proc. SPIE vol. 8322, 83221J (2012).
  62. ^ J. Chen, 2011 IMEC Technology Forum
  63. ^ L. Szu-Kai and C. C. P. Chen, Proc. SPIE vol. 7274, 727436 (2009).
  64. ^ H. Kirchauer PhD Thesis (1998).
  65. ^ K. Tian et al., Proc. SPIE vol. 7274, 72740C (2009).
  66. ^ M. Bass (ed.), Handbook of Optics, 2.20, McGraw-Hill, 2010.
  67. ^ V. Domnenko et al., Proc. SPIE 7271, 727141 (2009).
  68. ^ D. C. Brandt et al., Proc. SPIE vol. 7271, 727103 (2009).
  69. ^ F. T. Chen et al., Proc. SPIE 8326, 8326L (2012).
  70. ^ S. M. Tamboli et al., Ind. J. Chem. Tech., vol. 11, 853 (2004).
  71. ^ J. N. Helbert et al., Macromolecules, vol. 11, 1104 (1978).
  72. ^ J. P. Cain et al., Proc. SPIE 5751, 301 (2005).
  73. ^ T. Kozawa, Jap. J. Appl. Phys. 51, 06FC01 (2012).
  74. ^ J. K. Stowers et al., Proc. SPIE 7969, 796915 (2011).
  75. ^ R. Gronheid et al., Proc. SPIE 8322, 83220M (2012).
  76. ^ Y. Tanaka et al., Proc. SPIE 6921, 69211D (2008).
  77. ^ A. G. Caster et al., J. Vac. Sci. Tech. B 28, 1304 (2010).
  78. ^ GlobalFoundries plans EUV by 2015
  79. ^ H. Feldmann et al., Proc. SPIE 7636, 76361C (2010).
  80. ^ S. Lombardo et al., J. Appl. Phys., 84, 472 (1998).
  81. ^ M. Dapor et al., J. Micro/Nanolith. MEMS MOEMS 9, 023001 (2010).
  82. ^ C. Kittel, Introduction to Solid State Physics, 6th ed. (John Wiley & Sons, 1986), pp. 281-3.
  83. ^ P. T. Henderson et al., Proc. Natl. Acad. Sci. USA 96, 8353-8358 (1999).
  84. ^ K. W. Lee et al., J. Kor. Phys. Soc. 55, 1720 (2009).
  85. ^ D. Emfietzoglou et al., Nucl. Instr. & Meth. in Phys. Res. B 267, 45--52 (2009).
  86. ^ H.-J. Fitting et al., J. Elec. Spec. & Rel. Phenom. 119, 35--47 (2001).
  87. ^ S. Hino, N. Sato, H. Inokuchi, Chem. Phys. Lett. vol. 37, 494 (1976).
  88. ^ R. Feder et al., J. Vac. Sci. Tech. 12, 1332 (1975).
  89. ^ K. Murata, J. Appl. Phys. 57, 575 (1985).
  90. ^ D. J. D. Carter et al., J. Vac. Sci. & Tech. B 15, pp. 2509--2513 (1997).
  91. ^ K. Yamazaki et al., Jap. J. Appl. Phys. 36, 7552-7556 (1997).
  92. ^ V. V. Ivin et al., Micr. Eng. 61-62, 343-349 (2002).
  93. ^ R. Renoud et al., J. Phys. Cond. Matt. 10, 5821-5832 (1998).
  94. ^ K. Wilder et al., J. Vac. Sci. Tech. B 16, 3864 (1998).
  95. ^ V. W. Ballarotto et al., JVST B 20, 2514-2518 (2002).
  96. ^ M. Kotera et al., Microprocesses and Nanotechnology, 2007 Digest of Papers, pp. 94--95 (2007).
  97. ^ M. Kotera et al., Jap. J. Appl. Phys. vol. 47, pp. 4944--4949 (2008).
  98. ^ A. Ritucci et al., "Damage and ablation of large band gap dielectrics induced by a 46.9 nm laser beam," March 9, 2006 report UCRL-JRNL-219656 (Lawrence Livermore National Laboratory).
  99. ^ T. Kozawa et al., Appl. Phys. Exp. 1, 027001 (2008).
  100. ^ T. Watanabe and H. Kinoshita, J. Photopolymer Sci. and Tech., vol. 21, 777-784 (2008).
  101. ^ R. Gronheid et al., J. Micro/Nanolith. MEMS MOEMS 10, 033004 (2011).
  102. ^ J. Drucker and M. R. Scheinfein, Phys. Rev. B vol. 47, 15973-15975 (1993).
  103. ^ EUV-IL at PSI
  104. ^ XIL beamline at PSI
  105. ^ V. Auzelyte et al., J. Micro/Nanolith. MEMS MOEMS 8, 021204 (2009).
  106. ^ K.B.Nguyen et al., J. Vac. Sci. Tech. B 14, 4188 (1996).
  107. ^ S. Yang et al., IEDM '98 Technical Digest, pp. 197-200 (1998).
  108. ^ B. La Fontaine et al., Proc. SPIE 6921, 69210P (2008).
  109. ^ AMD uses EUV to pattern metal layer in 45 nm test chip
  110. ^ B. Haavind and J. Montgomery, "SPIE: AMD, IBM tip first "full-field" EUV chip," Solid State Technology, Feb. 27, 2008
  111. ^ O. R. Wood II et al., Proc. SPIE 6517, 65170U (2007).
  112. ^ IMEC report on EUV printing of contacts
  113. ^ IMEC Makes 22 nm SRAM Cells With EUV Lithography
  114. ^ J. J. Biafore et al., SPIE Lithography Asia 2009, Proc. SPIE 7520, 75201P (2009).
  115. ^ KLA-Tencor brings stochastic modeling to EUV
  116. ^ T. I. Wallow et al., Proc. SPIE 7273, 72733T (2009).
  117. ^ EUVA: 2nd EUVL Symposium at Antwerp, Belgium (2003)
  118. ^ "Intel's extreme ultraviolet dream still somewhere over the rainbow."
  119. ^ High-Power EUV lithography lightsources come of age,http://www.electroiq.com/index/display/semiconductors-article-display/368295/articles/solid-state-technology/volume-52/issue-9/features/cover-article/high-power-euv-lithography-lightsources-come-of-age.html
  120. ^ Taking Semiconductor Manufacturing to the extreme, http://www.photonics.com/Article.aspx?AID=40103
  121. ^ SEMICON West - Lithography Challenges and Solutions
  122. ^ P.Naulleau et al., Pushing EUV lithography development beyond 22-nm half pitch, LBNL Paper LBNL-2288E (2010).
  123. ^ TSMC to take delivery of EUV lithography system in 2011
  124. ^ TSMC facing EUV, Wafer Cost Challenges.
  125. ^ H. Meiling, "EUVL - getting ready for volume introduction," Semicon West, July 14, 2010.
  126. ^ O. Wood and B. LaFontaine, Source Power Requirement for HVM.
  127. ^ U. S. Patent 6977715.
  128. ^ H-W Kim et al., Proc. SPIE vol. 7636, 76360Q (2010).
  129. ^ S. A. George et al., Proc. SPIE vol. 7636, 763626 (2010).
  130. ^ H. Mizuno et al., Proc. SPIE vol. 7271, 72710U (2009).
  131. ^ P. P. Naulleau et al., Proc. SPIE 7636, 76362H (2010).
  132. ^ L. W. Shive and B. L. Gilmore, ECS Trans. vol. 16, 401-405 (2008).
  133. ^ T-S. Eom et al., Proc. SPIE 7271, 727115 (2009).
  134. ^ EETimes article on NAND Flash scaling 3/22/2010.
  135. ^ C. Taylor, "Samsung intros 64-Gbit MLC NAND chip," Electronic News, October 23, 2007.
  136. ^ M. LaPedus, Intel, Micron roll 34-nm NAND device, EETimes, 5/29/2008.
  137. ^ Sandisk-Toshiba reclaim NAND process lead with 19 nm
  138. ^ E. S. Putna et al., Proc. SPIE vol. 7969, 79692K (2011).
  139. ^ e.g., US Patent Application 20090153826
  140. ^ IEDM 2011 Press Tip Sheet
  141. ^ Semiconductor International 9/14/2009 Intel Ramping 32 nm Manufacturing in Oregon
  142. ^ EETimes 9/22/2009 Otellini: Intel to ship more SOCs than PC CPUs -- someday
  143. ^ 22 nm HP Integrated Patterning Improvements for EUVL
  144. ^ Intel Confirms Production of 22nm Processors for late 2011
  145. ^ Sematech launches EUV metrology consortium
  146. ^ SanDisk, 2/26/2010 Investor Day.
  147. ^ T. Matsuyama et al., 2006 IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 50-56 (2006).
  148. ^ J. Cobb et al., "Flare compensation in EUV Lithography," 2003 EUV Symposium, Antwerp.
  149. ^ ASML, 2009 Lithography Workshop.
  150. ^ ASML, Mask TWG, EUVL Symposium, 2008.
  151. ^ K. Murakami et al., Proc. SPIE 8322, 832215 (2012).
  152. ^ Y. Sekine et al., 1st International EUVL Symposium, 2002.
  153. ^ M. A. Golub and A. A. Friesem, J. Opt. Soc. Am. A, 24, 687 (2007).
  154. ^ Louis, E.; et al. (2011). “Nanometer interface and materials control for multilayer EUV-optical applications”. Prog. Surf. Sci. 86 (11--12): 255--294. doi:10.1016/j.progsurf.2011.08.001. 
  155. ^ H. Yang et al., Proceedings of the 1st IEEE Intl. Conf. on Nano/Micro Engineered and Molecular Systems, pp. 391--394 (2006).
  156. ^ D2S announces litho tradeoffs at 20 nm and below
  157. ^ W. Chao et al., JVST B 27, 2606-2611 (2009).
  158. ^ W. Chao et al., Proc. SPIE vol. 6883, 688309 (2008).
  159. ^ 2009 Sokudo Lithography Breakfast Forum
  160. ^ EUV late for 10 nm
  161. ^ ASML's EUV Roadmap Points to New Wavelength
  162. ^ Cymer EUV roadmap slips
  163. ^ Samsung resets EUV roadmap for memory scaling
  164. ^ Foundry rivals say EUV not ready for prime time
  165. ^ EUV misses 14 nm node
  166. ^ R. Peeters and S. Young, ASML Images 2012 Issue 1, p. 4.
  167. ^ ASML EUV tool update
  168. ^ Samsung and SKHynix EUV order
  169. ^ H. S. Kim, Future of Memory Devices and EUV Lithography, 2009 EUV Symposium
  170. ^ H. Mizoguchi, "Laser Produced Plasma EUV Light Source Gigaphoton Update," EUVL Source Workshop, May 12, 2008.





英和和英テキスト翻訳>> Weblio翻訳
英語⇒日本語日本語⇒英語
  

辞書ショートカット

すべての辞書の索引

「極端紫外線リソグラフィ」の関連用語

極端紫外線リソグラフィのお隣キーワード
検索ランキング

   

英語⇒日本語
日本語⇒英語
   



極端紫外線リソグラフィのページの著作権
Weblio 辞書 情報提供元は 参加元一覧 にて確認できます。

   
ウィキペディアウィキペディア
All text is available under the terms of the GNU Free Documentation License.
この記事は、ウィキペディアの極端紫外線リソグラフィ (改訂履歴)の記事を複製、再配布したものにあたり、GNU Free Documentation Licenseというライセンスの下で提供されています。 Weblio辞書に掲載されているウィキペディアの記事も、全てGNU Free Documentation Licenseの元に提供されております。

©2024 GRAS Group, Inc.RSS